Wednesday, 24 October 2012

VHDL Tutorials: Hardware Abstraction

|0 comments
Hardware Abstraction    VHDL is used to describe a model for a digital hardware device. This model specifies the external view of the device and one or more internal views. The internal view of the device specifies the functionality or structure, while the external view specifies the interface of the device through which it communicates with the other models in its environment. Figure I.I shows the hardware device and the corresponding...[Readmore]

VHDL Tutorials: Program for 4x1 Multiplexer

|0 comments
The Program for a 4x1 Multipexer using CASE statement is : use IEEE.std_logic_1164.all; -- this is the entity entity MUX is port (A, B, C, D: in BIT; CTRL: in BIT_VECTOR(0 to 1); Z: out BIT); end MUX; -- this is the architecture architecture MUX_BEHAVIOR of MUX is constant MUX_DELAY: TIME := 10 ns; begin PMUX: process (A, B, C, D, CTRL) variable TEMP: BIT; begin case CTRL is when "00" => TEMP := A: when "01" => TEMP := B; when...[Readmore]

VHDL Tutorials: Program for AND Gate

|0 comments
Here is the Program for AND GATE is : -- import std_logic from the IEEE library library IEEE; use IEEE.std_logic_1164.all; -- this is the entity entity ANDGATE is port ( I1 : in std_logic; I2 : in std_logic; O : out std_logic); end entity ANDGATE; -- this is the architecture architecture RTL of ANDGATE is begin O <= I1 and I2; end architecture RTL; Generally, simple functions like this are part of a larger behavioral...[Readmore]

VHDL Tutorial : Mixed Style of Modeling

|0 comments
4. Mixed Style of Modeling 1.FULL ADDER    It is possible to mix the three modeling styles that we have seen so far in a single architecture body. That is, within an architecture body, we could use component instantiation statements (that represent structure), concurrent signal assignment statements (that represent dataflow), and process statements (that represent behavior). Here is an example of a mixed style model for a one-bit...[Readmore]

VHDL Tutorial : Behavioral Style of Modeling

|0 comments
3. Behavioral Style of Modeling 1. DECODER2x4 In contrast to the styles of modeling described earlier, the behavioral style of modeling specifies the behavior of an entity as a set of statements that are executed sequentially in the specified order. This set of sequential statements, that are specified inside a process statement, do not explicitly specify the structure of the entity but merely specifies its functionality. A process statement...[Readmore]

VHDL Tutorial : Dataflow Style of Modeling

|1 comments
2.Dataflow Style of Modeling 1.HALF ADDER    In this modeling style, the flow of data through the entity is expressed primarily using concurrent signal assignment statements. The structure of the entity is not explicitly specified in this modeling style, but it can be implicitly deduced. Consider the following alternate architecture body for the HALF..ADDER entity that uses this style. architecture HA_CONCURRENTof HALF_ADDER is begin SUM...[Readmore]

VHDL Tutorial : Structural Style of Modeling

|1 comments
Architecture Body    The internal details of an entity are specified by an architecture body using any of the following modeling styles: 1. As a set of interconnected components (to represent structure), 2. As a set of concurrent assignment statements (to represent dataflow), 3. As a set of sequential assignment statements (to represent be-hav.ior), 4. Any combination of the above three. 1. Structural Style of Modeling 1.HALF...[Readmore]

VHDL Tutorial : Entity Declaration

|0 comments
Entity Declaration    The entity' declaration specifies the name of the entity being modeled and lists the set of interface ports. Ports are signals through which the entity communicates with the other models in its external environment. Figure 2.3 A half-adder circuit Here is an example of an entity declaration for the half-adder circuit shown in Fig. 2.3. entity HALF_ADDER is port (A, B: in...[Readmore]

VHDL Tutorial :Basic Terminology

|0 comments
Basic Terminology    VHDL is a hardware description language that can be used to model a digital system. The digital system can be as simple as a logic gate or as complex as a complete electronic system. A hardware abstraction of this digital system is called an entity in this text. An entity X, when used in another entity Y, becomes a component for the entity Y. Therefore, a component is also an entity, depending...[Readmore]